Rizin
unix-like reverse engineering framework and cli tools
Arm64_const.java
Go to the documentation of this file.
1 // For Capstone Engine. AUTO-GENERATED FILE, DO NOT EDIT
2 package capstone;
3 
4 public class Arm64_const {
5 
6  public static final int ARM64_SFT_INVALID = 0;
7  public static final int ARM64_SFT_LSL = 1;
8  public static final int ARM64_SFT_MSL = 2;
9  public static final int ARM64_SFT_LSR = 3;
10  public static final int ARM64_SFT_ASR = 4;
11  public static final int ARM64_SFT_ROR = 5;
12 
13  public static final int ARM64_EXT_INVALID = 0;
14  public static final int ARM64_EXT_UXTB = 1;
15  public static final int ARM64_EXT_UXTH = 2;
16  public static final int ARM64_EXT_UXTW = 3;
17  public static final int ARM64_EXT_UXTX = 4;
18  public static final int ARM64_EXT_SXTB = 5;
19  public static final int ARM64_EXT_SXTH = 6;
20  public static final int ARM64_EXT_SXTW = 7;
21  public static final int ARM64_EXT_SXTX = 8;
22 
23  public static final int ARM64_CC_INVALID = 0;
24  public static final int ARM64_CC_EQ = 1;
25  public static final int ARM64_CC_NE = 2;
26  public static final int ARM64_CC_HS = 3;
27  public static final int ARM64_CC_LO = 4;
28  public static final int ARM64_CC_MI = 5;
29  public static final int ARM64_CC_PL = 6;
30  public static final int ARM64_CC_VS = 7;
31  public static final int ARM64_CC_VC = 8;
32  public static final int ARM64_CC_HI = 9;
33  public static final int ARM64_CC_LS = 10;
34  public static final int ARM64_CC_GE = 11;
35  public static final int ARM64_CC_LT = 12;
36  public static final int ARM64_CC_GT = 13;
37  public static final int ARM64_CC_LE = 14;
38  public static final int ARM64_CC_AL = 15;
39  public static final int ARM64_CC_NV = 16;
40 
41  public static final int ARM64_SYSREG_INVALID = 0;
42  public static final int ARM64_SYSREG_MDCCSR_EL0 = 0x9808;
43  public static final int ARM64_SYSREG_DBGDTRRX_EL0 = 0x9828;
44  public static final int ARM64_SYSREG_MDRAR_EL1 = 0x8080;
45  public static final int ARM64_SYSREG_OSLSR_EL1 = 0x808c;
46  public static final int ARM64_SYSREG_DBGAUTHSTATUS_EL1 = 0x83f6;
47  public static final int ARM64_SYSREG_PMCEID0_EL0 = 0xdce6;
48  public static final int ARM64_SYSREG_PMCEID1_EL0 = 0xdce7;
49  public static final int ARM64_SYSREG_MIDR_EL1 = 0xc000;
50  public static final int ARM64_SYSREG_CCSIDR_EL1 = 0xc800;
51  public static final int ARM64_SYSREG_CLIDR_EL1 = 0xc801;
52  public static final int ARM64_SYSREG_CTR_EL0 = 0xd801;
53  public static final int ARM64_SYSREG_MPIDR_EL1 = 0xc005;
54  public static final int ARM64_SYSREG_REVIDR_EL1 = 0xc006;
55  public static final int ARM64_SYSREG_AIDR_EL1 = 0xc807;
56  public static final int ARM64_SYSREG_DCZID_EL0 = 0xd807;
57  public static final int ARM64_SYSREG_ID_PFR0_EL1 = 0xc008;
58  public static final int ARM64_SYSREG_ID_PFR1_EL1 = 0xc009;
59  public static final int ARM64_SYSREG_ID_DFR0_EL1 = 0xc00a;
60  public static final int ARM64_SYSREG_ID_AFR0_EL1 = 0xc00b;
61  public static final int ARM64_SYSREG_ID_MMFR0_EL1 = 0xc00c;
62  public static final int ARM64_SYSREG_ID_MMFR1_EL1 = 0xc00d;
63  public static final int ARM64_SYSREG_ID_MMFR2_EL1 = 0xc00e;
64  public static final int ARM64_SYSREG_ID_MMFR3_EL1 = 0xc00f;
65  public static final int ARM64_SYSREG_ID_ISAR0_EL1 = 0xc010;
66  public static final int ARM64_SYSREG_ID_ISAR1_EL1 = 0xc011;
67  public static final int ARM64_SYSREG_ID_ISAR2_EL1 = 0xc012;
68  public static final int ARM64_SYSREG_ID_ISAR3_EL1 = 0xc013;
69  public static final int ARM64_SYSREG_ID_ISAR4_EL1 = 0xc014;
70  public static final int ARM64_SYSREG_ID_ISAR5_EL1 = 0xc015;
71  public static final int ARM64_SYSREG_ID_A64PFR0_EL1 = 0xc020;
72  public static final int ARM64_SYSREG_ID_A64PFR1_EL1 = 0xc021;
73  public static final int ARM64_SYSREG_ID_A64DFR0_EL1 = 0xc028;
74  public static final int ARM64_SYSREG_ID_A64DFR1_EL1 = 0xc029;
75  public static final int ARM64_SYSREG_ID_A64AFR0_EL1 = 0xc02c;
76  public static final int ARM64_SYSREG_ID_A64AFR1_EL1 = 0xc02d;
77  public static final int ARM64_SYSREG_ID_A64ISAR0_EL1 = 0xc030;
78  public static final int ARM64_SYSREG_ID_A64ISAR1_EL1 = 0xc031;
79  public static final int ARM64_SYSREG_ID_A64MMFR0_EL1 = 0xc038;
80  public static final int ARM64_SYSREG_ID_A64MMFR1_EL1 = 0xc039;
81  public static final int ARM64_SYSREG_MVFR0_EL1 = 0xc018;
82  public static final int ARM64_SYSREG_MVFR1_EL1 = 0xc019;
83  public static final int ARM64_SYSREG_MVFR2_EL1 = 0xc01a;
84  public static final int ARM64_SYSREG_RVBAR_EL1 = 0xc601;
85  public static final int ARM64_SYSREG_RVBAR_EL2 = 0xe601;
86  public static final int ARM64_SYSREG_RVBAR_EL3 = 0xf601;
87  public static final int ARM64_SYSREG_ISR_EL1 = 0xc608;
88  public static final int ARM64_SYSREG_CNTPCT_EL0 = 0xdf01;
89  public static final int ARM64_SYSREG_CNTVCT_EL0 = 0xdf02;
90  public static final int ARM64_SYSREG_TRCSTATR = 0x8818;
91  public static final int ARM64_SYSREG_TRCIDR8 = 0x8806;
92  public static final int ARM64_SYSREG_TRCIDR9 = 0x880e;
93  public static final int ARM64_SYSREG_TRCIDR10 = 0x8816;
94  public static final int ARM64_SYSREG_TRCIDR11 = 0x881e;
95  public static final int ARM64_SYSREG_TRCIDR12 = 0x8826;
96  public static final int ARM64_SYSREG_TRCIDR13 = 0x882e;
97  public static final int ARM64_SYSREG_TRCIDR0 = 0x8847;
98  public static final int ARM64_SYSREG_TRCIDR1 = 0x884f;
99  public static final int ARM64_SYSREG_TRCIDR2 = 0x8857;
100  public static final int ARM64_SYSREG_TRCIDR3 = 0x885f;
101  public static final int ARM64_SYSREG_TRCIDR4 = 0x8867;
102  public static final int ARM64_SYSREG_TRCIDR5 = 0x886f;
103  public static final int ARM64_SYSREG_TRCIDR6 = 0x8877;
104  public static final int ARM64_SYSREG_TRCIDR7 = 0x887f;
105  public static final int ARM64_SYSREG_TRCOSLSR = 0x888c;
106  public static final int ARM64_SYSREG_TRCPDSR = 0x88ac;
107  public static final int ARM64_SYSREG_TRCDEVAFF0 = 0x8bd6;
108  public static final int ARM64_SYSREG_TRCDEVAFF1 = 0x8bde;
109  public static final int ARM64_SYSREG_TRCLSR = 0x8bee;
110  public static final int ARM64_SYSREG_TRCAUTHSTATUS = 0x8bf6;
111  public static final int ARM64_SYSREG_TRCDEVARCH = 0x8bfe;
112  public static final int ARM64_SYSREG_TRCDEVID = 0x8b97;
113  public static final int ARM64_SYSREG_TRCDEVTYPE = 0x8b9f;
114  public static final int ARM64_SYSREG_TRCPIDR4 = 0x8ba7;
115  public static final int ARM64_SYSREG_TRCPIDR5 = 0x8baf;
116  public static final int ARM64_SYSREG_TRCPIDR6 = 0x8bb7;
117  public static final int ARM64_SYSREG_TRCPIDR7 = 0x8bbf;
118  public static final int ARM64_SYSREG_TRCPIDR0 = 0x8bc7;
119  public static final int ARM64_SYSREG_TRCPIDR1 = 0x8bcf;
120  public static final int ARM64_SYSREG_TRCPIDR2 = 0x8bd7;
121  public static final int ARM64_SYSREG_TRCPIDR3 = 0x8bdf;
122  public static final int ARM64_SYSREG_TRCCIDR0 = 0x8be7;
123  public static final int ARM64_SYSREG_TRCCIDR1 = 0x8bef;
124  public static final int ARM64_SYSREG_TRCCIDR2 = 0x8bf7;
125  public static final int ARM64_SYSREG_TRCCIDR3 = 0x8bff;
126  public static final int ARM64_SYSREG_ICC_IAR1_EL1 = 0xc660;
127  public static final int ARM64_SYSREG_ICC_IAR0_EL1 = 0xc640;
128  public static final int ARM64_SYSREG_ICC_HPPIR1_EL1 = 0xc662;
129  public static final int ARM64_SYSREG_ICC_HPPIR0_EL1 = 0xc642;
130  public static final int ARM64_SYSREG_ICC_RPR_EL1 = 0xc65b;
131  public static final int ARM64_SYSREG_ICH_VTR_EL2 = 0xe659;
132  public static final int ARM64_SYSREG_ICH_EISR_EL2 = 0xe65b;
133  public static final int ARM64_SYSREG_ICH_ELSR_EL2 = 0xe65d;
134  public static final int ARM64_SYSREG_DBGDTRTX_EL0 = 0x9828;
135  public static final int ARM64_SYSREG_OSLAR_EL1 = 0x8084;
136  public static final int ARM64_SYSREG_PMSWINC_EL0 = 0xdce4;
137  public static final int ARM64_SYSREG_TRCOSLAR = 0x8884;
138  public static final int ARM64_SYSREG_TRCLAR = 0x8be6;
139  public static final int ARM64_SYSREG_ICC_EOIR1_EL1 = 0xc661;
140  public static final int ARM64_SYSREG_ICC_EOIR0_EL1 = 0xc641;
141  public static final int ARM64_SYSREG_ICC_DIR_EL1 = 0xc659;
142  public static final int ARM64_SYSREG_ICC_SGI1R_EL1 = 0xc65d;
143  public static final int ARM64_SYSREG_ICC_ASGI1R_EL1 = 0xc65e;
144  public static final int ARM64_SYSREG_ICC_SGI0R_EL1 = 0xc65f;
145 
146  public static final int ARM64_PSTATE_INVALID = 0;
147  public static final int ARM64_PSTATE_SPSEL = 0x05;
148  public static final int ARM64_PSTATE_DAIFSET = 0x1e;
149  public static final int ARM64_PSTATE_DAIFCLR = 0x1f;
150 
151  public static final int ARM64_VAS_INVALID = 0;
152  public static final int ARM64_VAS_8B = 1;
153  public static final int ARM64_VAS_16B = 2;
154  public static final int ARM64_VAS_4H = 3;
155  public static final int ARM64_VAS_8H = 4;
156  public static final int ARM64_VAS_2S = 5;
157  public static final int ARM64_VAS_4S = 6;
158  public static final int ARM64_VAS_1D = 7;
159  public static final int ARM64_VAS_2D = 8;
160  public static final int ARM64_VAS_1Q = 9;
161 
162  public static final int ARM64_VESS_INVALID = 0;
163  public static final int ARM64_VESS_B = 1;
164  public static final int ARM64_VESS_H = 2;
165  public static final int ARM64_VESS_S = 3;
166  public static final int ARM64_VESS_D = 4;
167 
168  public static final int ARM64_BARRIER_INVALID = 0;
169  public static final int ARM64_BARRIER_OSHLD = 0x1;
170  public static final int ARM64_BARRIER_OSHST = 0x2;
171  public static final int ARM64_BARRIER_OSH = 0x3;
172  public static final int ARM64_BARRIER_NSHLD = 0x5;
173  public static final int ARM64_BARRIER_NSHST = 0x6;
174  public static final int ARM64_BARRIER_NSH = 0x7;
175  public static final int ARM64_BARRIER_ISHLD = 0x9;
176  public static final int ARM64_BARRIER_ISHST = 0xa;
177  public static final int ARM64_BARRIER_ISH = 0xb;
178  public static final int ARM64_BARRIER_LD = 0xd;
179  public static final int ARM64_BARRIER_ST = 0xe;
180  public static final int ARM64_BARRIER_SY = 0xf;
181 
182  public static final int ARM64_OP_INVALID = 0;
183  public static final int ARM64_OP_REG = 1;
184  public static final int ARM64_OP_IMM = 2;
185  public static final int ARM64_OP_MEM = 3;
186  public static final int ARM64_OP_FP = 4;
187  public static final int ARM64_OP_CIMM = 64;
188  public static final int ARM64_OP_REG_MRS = 65;
189  public static final int ARM64_OP_REG_MSR = 66;
190  public static final int ARM64_OP_PSTATE = 67;
191  public static final int ARM64_OP_SYS = 68;
192  public static final int ARM64_OP_PREFETCH = 69;
193  public static final int ARM64_OP_BARRIER = 70;
194 
195  public static final int ARM64_TLBI_INVALID = 0;
196  public static final int ARM64_TLBI_VMALLE1IS = 1;
197  public static final int ARM64_TLBI_VAE1IS = 2;
198  public static final int ARM64_TLBI_ASIDE1IS = 3;
199  public static final int ARM64_TLBI_VAAE1IS = 4;
200  public static final int ARM64_TLBI_VALE1IS = 5;
201  public static final int ARM64_TLBI_VAALE1IS = 6;
202  public static final int ARM64_TLBI_ALLE2IS = 7;
203  public static final int ARM64_TLBI_VAE2IS = 8;
204  public static final int ARM64_TLBI_ALLE1IS = 9;
205  public static final int ARM64_TLBI_VALE2IS = 10;
206  public static final int ARM64_TLBI_VMALLS12E1IS = 11;
207  public static final int ARM64_TLBI_ALLE3IS = 12;
208  public static final int ARM64_TLBI_VAE3IS = 13;
209  public static final int ARM64_TLBI_VALE3IS = 14;
210  public static final int ARM64_TLBI_IPAS2E1IS = 15;
211  public static final int ARM64_TLBI_IPAS2LE1IS = 16;
212  public static final int ARM64_TLBI_IPAS2E1 = 17;
213  public static final int ARM64_TLBI_IPAS2LE1 = 18;
214  public static final int ARM64_TLBI_VMALLE1 = 19;
215  public static final int ARM64_TLBI_VAE1 = 20;
216  public static final int ARM64_TLBI_ASIDE1 = 21;
217  public static final int ARM64_TLBI_VAAE1 = 22;
218  public static final int ARM64_TLBI_VALE1 = 23;
219  public static final int ARM64_TLBI_VAALE1 = 24;
220  public static final int ARM64_TLBI_ALLE2 = 25;
221  public static final int ARM64_TLBI_VAE2 = 26;
222  public static final int ARM64_TLBI_ALLE1 = 27;
223  public static final int ARM64_TLBI_VALE2 = 28;
224  public static final int ARM64_TLBI_VMALLS12E1 = 29;
225  public static final int ARM64_TLBI_ALLE3 = 30;
226  public static final int ARM64_TLBI_VAE3 = 31;
227  public static final int ARM64_TLBI_VALE3 = 32;
228  public static final int ARM64_AT_S1E1R = 33;
229  public static final int ARM64_AT_S1E1W = 34;
230  public static final int ARM64_AT_S1E0R = 35;
231  public static final int ARM64_AT_S1E0W = 36;
232  public static final int ARM64_AT_S1E2R = 37;
233  public static final int ARM64_AT_S1E2W = 38;
234  public static final int ARM64_AT_S12E1R = 39;
235  public static final int ARM64_AT_S12E1W = 40;
236  public static final int ARM64_AT_S12E0R = 41;
237  public static final int ARM64_AT_S12E0W = 42;
238  public static final int ARM64_AT_S1E3R = 43;
239  public static final int ARM64_AT_S1E3W = 44;
240 
241  public static final int ARM64_DC_INVALID = 0;
242  public static final int ARM64_DC_ZVA = 1;
243  public static final int ARM64_DC_IVAC = 2;
244  public static final int ARM64_DC_ISW = 3;
245  public static final int ARM64_DC_CVAC = 4;
246  public static final int ARM64_DC_CSW = 5;
247  public static final int ARM64_DC_CVAU = 6;
248  public static final int ARM64_DC_CIVAC = 7;
249  public static final int ARM64_DC_CISW = 8;
250 
251  public static final int ARM64_IC_INVALID = 0;
252  public static final int ARM64_IC_IALLUIS = 1;
253  public static final int ARM64_IC_IALLU = 2;
254  public static final int ARM64_IC_IVAU = 3;
255 
256  public static final int ARM64_PRFM_INVALID = 0;
257  public static final int ARM64_PRFM_PLDL1KEEP = 0x00+1;
258  public static final int ARM64_PRFM_PLDL1STRM = 0x01+1;
259  public static final int ARM64_PRFM_PLDL2KEEP = 0x02+1;
260  public static final int ARM64_PRFM_PLDL2STRM = 0x03+1;
261  public static final int ARM64_PRFM_PLDL3KEEP = 0x04+1;
262  public static final int ARM64_PRFM_PLDL3STRM = 0x05+1;
263  public static final int ARM64_PRFM_PLIL1KEEP = 0x08+1;
264  public static final int ARM64_PRFM_PLIL1STRM = 0x09+1;
265  public static final int ARM64_PRFM_PLIL2KEEP = 0x0a+1;
266  public static final int ARM64_PRFM_PLIL2STRM = 0x0b+1;
267  public static final int ARM64_PRFM_PLIL3KEEP = 0x0c+1;
268  public static final int ARM64_PRFM_PLIL3STRM = 0x0d+1;
269  public static final int ARM64_PRFM_PSTL1KEEP = 0x10+1;
270  public static final int ARM64_PRFM_PSTL1STRM = 0x11+1;
271  public static final int ARM64_PRFM_PSTL2KEEP = 0x12+1;
272  public static final int ARM64_PRFM_PSTL2STRM = 0x13+1;
273  public static final int ARM64_PRFM_PSTL3KEEP = 0x14+1;
274  public static final int ARM64_PRFM_PSTL3STRM = 0x15+1;
275 
276  public static final int ARM64_REG_INVALID = 0;
277  public static final int ARM64_REG_X29 = 1;
278  public static final int ARM64_REG_X30 = 2;
279  public static final int ARM64_REG_NZCV = 3;
280  public static final int ARM64_REG_SP = 4;
281  public static final int ARM64_REG_WSP = 5;
282  public static final int ARM64_REG_WZR = 6;
283  public static final int ARM64_REG_XZR = 7;
284  public static final int ARM64_REG_B0 = 8;
285  public static final int ARM64_REG_B1 = 9;
286  public static final int ARM64_REG_B2 = 10;
287  public static final int ARM64_REG_B3 = 11;
288  public static final int ARM64_REG_B4 = 12;
289  public static final int ARM64_REG_B5 = 13;
290  public static final int ARM64_REG_B6 = 14;
291  public static final int ARM64_REG_B7 = 15;
292  public static final int ARM64_REG_B8 = 16;
293  public static final int ARM64_REG_B9 = 17;
294  public static final int ARM64_REG_B10 = 18;
295  public static final int ARM64_REG_B11 = 19;
296  public static final int ARM64_REG_B12 = 20;
297  public static final int ARM64_REG_B13 = 21;
298  public static final int ARM64_REG_B14 = 22;
299  public static final int ARM64_REG_B15 = 23;
300  public static final int ARM64_REG_B16 = 24;
301  public static final int ARM64_REG_B17 = 25;
302  public static final int ARM64_REG_B18 = 26;
303  public static final int ARM64_REG_B19 = 27;
304  public static final int ARM64_REG_B20 = 28;
305  public static final int ARM64_REG_B21 = 29;
306  public static final int ARM64_REG_B22 = 30;
307  public static final int ARM64_REG_B23 = 31;
308  public static final int ARM64_REG_B24 = 32;
309  public static final int ARM64_REG_B25 = 33;
310  public static final int ARM64_REG_B26 = 34;
311  public static final int ARM64_REG_B27 = 35;
312  public static final int ARM64_REG_B28 = 36;
313  public static final int ARM64_REG_B29 = 37;
314  public static final int ARM64_REG_B30 = 38;
315  public static final int ARM64_REG_B31 = 39;
316  public static final int ARM64_REG_D0 = 40;
317  public static final int ARM64_REG_D1 = 41;
318  public static final int ARM64_REG_D2 = 42;
319  public static final int ARM64_REG_D3 = 43;
320  public static final int ARM64_REG_D4 = 44;
321  public static final int ARM64_REG_D5 = 45;
322  public static final int ARM64_REG_D6 = 46;
323  public static final int ARM64_REG_D7 = 47;
324  public static final int ARM64_REG_D8 = 48;
325  public static final int ARM64_REG_D9 = 49;
326  public static final int ARM64_REG_D10 = 50;
327  public static final int ARM64_REG_D11 = 51;
328  public static final int ARM64_REG_D12 = 52;
329  public static final int ARM64_REG_D13 = 53;
330  public static final int ARM64_REG_D14 = 54;
331  public static final int ARM64_REG_D15 = 55;
332  public static final int ARM64_REG_D16 = 56;
333  public static final int ARM64_REG_D17 = 57;
334  public static final int ARM64_REG_D18 = 58;
335  public static final int ARM64_REG_D19 = 59;
336  public static final int ARM64_REG_D20 = 60;
337  public static final int ARM64_REG_D21 = 61;
338  public static final int ARM64_REG_D22 = 62;
339  public static final int ARM64_REG_D23 = 63;
340  public static final int ARM64_REG_D24 = 64;
341  public static final int ARM64_REG_D25 = 65;
342  public static final int ARM64_REG_D26 = 66;
343  public static final int ARM64_REG_D27 = 67;
344  public static final int ARM64_REG_D28 = 68;
345  public static final int ARM64_REG_D29 = 69;
346  public static final int ARM64_REG_D30 = 70;
347  public static final int ARM64_REG_D31 = 71;
348  public static final int ARM64_REG_H0 = 72;
349  public static final int ARM64_REG_H1 = 73;
350  public static final int ARM64_REG_H2 = 74;
351  public static final int ARM64_REG_H3 = 75;
352  public static final int ARM64_REG_H4 = 76;
353  public static final int ARM64_REG_H5 = 77;
354  public static final int ARM64_REG_H6 = 78;
355  public static final int ARM64_REG_H7 = 79;
356  public static final int ARM64_REG_H8 = 80;
357  public static final int ARM64_REG_H9 = 81;
358  public static final int ARM64_REG_H10 = 82;
359  public static final int ARM64_REG_H11 = 83;
360  public static final int ARM64_REG_H12 = 84;
361  public static final int ARM64_REG_H13 = 85;
362  public static final int ARM64_REG_H14 = 86;
363  public static final int ARM64_REG_H15 = 87;
364  public static final int ARM64_REG_H16 = 88;
365  public static final int ARM64_REG_H17 = 89;
366  public static final int ARM64_REG_H18 = 90;
367  public static final int ARM64_REG_H19 = 91;
368  public static final int ARM64_REG_H20 = 92;
369  public static final int ARM64_REG_H21 = 93;
370  public static final int ARM64_REG_H22 = 94;
371  public static final int ARM64_REG_H23 = 95;
372  public static final int ARM64_REG_H24 = 96;
373  public static final int ARM64_REG_H25 = 97;
374  public static final int ARM64_REG_H26 = 98;
375  public static final int ARM64_REG_H27 = 99;
376  public static final int ARM64_REG_H28 = 100;
377  public static final int ARM64_REG_H29 = 101;
378  public static final int ARM64_REG_H30 = 102;
379  public static final int ARM64_REG_H31 = 103;
380  public static final int ARM64_REG_Q0 = 104;
381  public static final int ARM64_REG_Q1 = 105;
382  public static final int ARM64_REG_Q2 = 106;
383  public static final int ARM64_REG_Q3 = 107;
384  public static final int ARM64_REG_Q4 = 108;
385  public static final int ARM64_REG_Q5 = 109;
386  public static final int ARM64_REG_Q6 = 110;
387  public static final int ARM64_REG_Q7 = 111;
388  public static final int ARM64_REG_Q8 = 112;
389  public static final int ARM64_REG_Q9 = 113;
390  public static final int ARM64_REG_Q10 = 114;
391  public static final int ARM64_REG_Q11 = 115;
392  public static final int ARM64_REG_Q12 = 116;
393  public static final int ARM64_REG_Q13 = 117;
394  public static final int ARM64_REG_Q14 = 118;
395  public static final int ARM64_REG_Q15 = 119;
396  public static final int ARM64_REG_Q16 = 120;
397  public static final int ARM64_REG_Q17 = 121;
398  public static final int ARM64_REG_Q18 = 122;
399  public static final int ARM64_REG_Q19 = 123;
400  public static final int ARM64_REG_Q20 = 124;
401  public static final int ARM64_REG_Q21 = 125;
402  public static final int ARM64_REG_Q22 = 126;
403  public static final int ARM64_REG_Q23 = 127;
404  public static final int ARM64_REG_Q24 = 128;
405  public static final int ARM64_REG_Q25 = 129;
406  public static final int ARM64_REG_Q26 = 130;
407  public static final int ARM64_REG_Q27 = 131;
408  public static final int ARM64_REG_Q28 = 132;
409  public static final int ARM64_REG_Q29 = 133;
410  public static final int ARM64_REG_Q30 = 134;
411  public static final int ARM64_REG_Q31 = 135;
412  public static final int ARM64_REG_S0 = 136;
413  public static final int ARM64_REG_S1 = 137;
414  public static final int ARM64_REG_S2 = 138;
415  public static final int ARM64_REG_S3 = 139;
416  public static final int ARM64_REG_S4 = 140;
417  public static final int ARM64_REG_S5 = 141;
418  public static final int ARM64_REG_S6 = 142;
419  public static final int ARM64_REG_S7 = 143;
420  public static final int ARM64_REG_S8 = 144;
421  public static final int ARM64_REG_S9 = 145;
422  public static final int ARM64_REG_S10 = 146;
423  public static final int ARM64_REG_S11 = 147;
424  public static final int ARM64_REG_S12 = 148;
425  public static final int ARM64_REG_S13 = 149;
426  public static final int ARM64_REG_S14 = 150;
427  public static final int ARM64_REG_S15 = 151;
428  public static final int ARM64_REG_S16 = 152;
429  public static final int ARM64_REG_S17 = 153;
430  public static final int ARM64_REG_S18 = 154;
431  public static final int ARM64_REG_S19 = 155;
432  public static final int ARM64_REG_S20 = 156;
433  public static final int ARM64_REG_S21 = 157;
434  public static final int ARM64_REG_S22 = 158;
435  public static final int ARM64_REG_S23 = 159;
436  public static final int ARM64_REG_S24 = 160;
437  public static final int ARM64_REG_S25 = 161;
438  public static final int ARM64_REG_S26 = 162;
439  public static final int ARM64_REG_S27 = 163;
440  public static final int ARM64_REG_S28 = 164;
441  public static final int ARM64_REG_S29 = 165;
442  public static final int ARM64_REG_S30 = 166;
443  public static final int ARM64_REG_S31 = 167;
444  public static final int ARM64_REG_W0 = 168;
445  public static final int ARM64_REG_W1 = 169;
446  public static final int ARM64_REG_W2 = 170;
447  public static final int ARM64_REG_W3 = 171;
448  public static final int ARM64_REG_W4 = 172;
449  public static final int ARM64_REG_W5 = 173;
450  public static final int ARM64_REG_W6 = 174;
451  public static final int ARM64_REG_W7 = 175;
452  public static final int ARM64_REG_W8 = 176;
453  public static final int ARM64_REG_W9 = 177;
454  public static final int ARM64_REG_W10 = 178;
455  public static final int ARM64_REG_W11 = 179;
456  public static final int ARM64_REG_W12 = 180;
457  public static final int ARM64_REG_W13 = 181;
458  public static final int ARM64_REG_W14 = 182;
459  public static final int ARM64_REG_W15 = 183;
460  public static final int ARM64_REG_W16 = 184;
461  public static final int ARM64_REG_W17 = 185;
462  public static final int ARM64_REG_W18 = 186;
463  public static final int ARM64_REG_W19 = 187;
464  public static final int ARM64_REG_W20 = 188;
465  public static final int ARM64_REG_W21 = 189;
466  public static final int ARM64_REG_W22 = 190;
467  public static final int ARM64_REG_W23 = 191;
468  public static final int ARM64_REG_W24 = 192;
469  public static final int ARM64_REG_W25 = 193;
470  public static final int ARM64_REG_W26 = 194;
471  public static final int ARM64_REG_W27 = 195;
472  public static final int ARM64_REG_W28 = 196;
473  public static final int ARM64_REG_W29 = 197;
474  public static final int ARM64_REG_W30 = 198;
475  public static final int ARM64_REG_X0 = 199;
476  public static final int ARM64_REG_X1 = 200;
477  public static final int ARM64_REG_X2 = 201;
478  public static final int ARM64_REG_X3 = 202;
479  public static final int ARM64_REG_X4 = 203;
480  public static final int ARM64_REG_X5 = 204;
481  public static final int ARM64_REG_X6 = 205;
482  public static final int ARM64_REG_X7 = 206;
483  public static final int ARM64_REG_X8 = 207;
484  public static final int ARM64_REG_X9 = 208;
485  public static final int ARM64_REG_X10 = 209;
486  public static final int ARM64_REG_X11 = 210;
487  public static final int ARM64_REG_X12 = 211;
488  public static final int ARM64_REG_X13 = 212;
489  public static final int ARM64_REG_X14 = 213;
490  public static final int ARM64_REG_X15 = 214;
491  public static final int ARM64_REG_X16 = 215;
492  public static final int ARM64_REG_X17 = 216;
493  public static final int ARM64_REG_X18 = 217;
494  public static final int ARM64_REG_X19 = 218;
495  public static final int ARM64_REG_X20 = 219;
496  public static final int ARM64_REG_X21 = 220;
497  public static final int ARM64_REG_X22 = 221;
498  public static final int ARM64_REG_X23 = 222;
499  public static final int ARM64_REG_X24 = 223;
500  public static final int ARM64_REG_X25 = 224;
501  public static final int ARM64_REG_X26 = 225;
502  public static final int ARM64_REG_X27 = 226;
503  public static final int ARM64_REG_X28 = 227;
504  public static final int ARM64_REG_V0 = 228;
505  public static final int ARM64_REG_V1 = 229;
506  public static final int ARM64_REG_V2 = 230;
507  public static final int ARM64_REG_V3 = 231;
508  public static final int ARM64_REG_V4 = 232;
509  public static final int ARM64_REG_V5 = 233;
510  public static final int ARM64_REG_V6 = 234;
511  public static final int ARM64_REG_V7 = 235;
512  public static final int ARM64_REG_V8 = 236;
513  public static final int ARM64_REG_V9 = 237;
514  public static final int ARM64_REG_V10 = 238;
515  public static final int ARM64_REG_V11 = 239;
516  public static final int ARM64_REG_V12 = 240;
517  public static final int ARM64_REG_V13 = 241;
518  public static final int ARM64_REG_V14 = 242;
519  public static final int ARM64_REG_V15 = 243;
520  public static final int ARM64_REG_V16 = 244;
521  public static final int ARM64_REG_V17 = 245;
522  public static final int ARM64_REG_V18 = 246;
523  public static final int ARM64_REG_V19 = 247;
524  public static final int ARM64_REG_V20 = 248;
525  public static final int ARM64_REG_V21 = 249;
526  public static final int ARM64_REG_V22 = 250;
527  public static final int ARM64_REG_V23 = 251;
528  public static final int ARM64_REG_V24 = 252;
529  public static final int ARM64_REG_V25 = 253;
530  public static final int ARM64_REG_V26 = 254;
531  public static final int ARM64_REG_V27 = 255;
532  public static final int ARM64_REG_V28 = 256;
533  public static final int ARM64_REG_V29 = 257;
534  public static final int ARM64_REG_V30 = 258;
535  public static final int ARM64_REG_V31 = 259;
536  public static final int ARM64_REG_ENDING = 260;
537  public static final int ARM64_REG_IP0 = ARM64_REG_X16;
538  public static final int ARM64_REG_IP1 = ARM64_REG_X17;
539  public static final int ARM64_REG_FP = ARM64_REG_X29;
540  public static final int ARM64_REG_LR = ARM64_REG_X30;
541 
542  public static final int ARM64_INS_INVALID = 0;
543  public static final int ARM64_INS_ABS = 1;
544  public static final int ARM64_INS_ADC = 2;
545  public static final int ARM64_INS_ADDHN = 3;
546  public static final int ARM64_INS_ADDHN2 = 4;
547  public static final int ARM64_INS_ADDP = 5;
548  public static final int ARM64_INS_ADD = 6;
549  public static final int ARM64_INS_ADDV = 7;
550  public static final int ARM64_INS_ADR = 8;
551  public static final int ARM64_INS_ADRP = 9;
552  public static final int ARM64_INS_AESD = 10;
553  public static final int ARM64_INS_AESE = 11;
554  public static final int ARM64_INS_AESIMC = 12;
555  public static final int ARM64_INS_AESMC = 13;
556  public static final int ARM64_INS_AND = 14;
557  public static final int ARM64_INS_ASR = 15;
558  public static final int ARM64_INS_B = 16;
559  public static final int ARM64_INS_BFM = 17;
560  public static final int ARM64_INS_BIC = 18;
561  public static final int ARM64_INS_BIF = 19;
562  public static final int ARM64_INS_BIT = 20;
563  public static final int ARM64_INS_BL = 21;
564  public static final int ARM64_INS_BLR = 22;
565  public static final int ARM64_INS_BR = 23;
566  public static final int ARM64_INS_BRK = 24;
567  public static final int ARM64_INS_BSL = 25;
568  public static final int ARM64_INS_CBNZ = 26;
569  public static final int ARM64_INS_CBZ = 27;
570  public static final int ARM64_INS_CCMN = 28;
571  public static final int ARM64_INS_CCMP = 29;
572  public static final int ARM64_INS_CLREX = 30;
573  public static final int ARM64_INS_CLS = 31;
574  public static final int ARM64_INS_CLZ = 32;
575  public static final int ARM64_INS_CMEQ = 33;
576  public static final int ARM64_INS_CMGE = 34;
577  public static final int ARM64_INS_CMGT = 35;
578  public static final int ARM64_INS_CMHI = 36;
579  public static final int ARM64_INS_CMHS = 37;
580  public static final int ARM64_INS_CMLE = 38;
581  public static final int ARM64_INS_CMLT = 39;
582  public static final int ARM64_INS_CMTST = 40;
583  public static final int ARM64_INS_CNT = 41;
584  public static final int ARM64_INS_MOV = 42;
585  public static final int ARM64_INS_CRC32B = 43;
586  public static final int ARM64_INS_CRC32CB = 44;
587  public static final int ARM64_INS_CRC32CH = 45;
588  public static final int ARM64_INS_CRC32CW = 46;
589  public static final int ARM64_INS_CRC32CX = 47;
590  public static final int ARM64_INS_CRC32H = 48;
591  public static final int ARM64_INS_CRC32W = 49;
592  public static final int ARM64_INS_CRC32X = 50;
593  public static final int ARM64_INS_CSEL = 51;
594  public static final int ARM64_INS_CSINC = 52;
595  public static final int ARM64_INS_CSINV = 53;
596  public static final int ARM64_INS_CSNEG = 54;
597  public static final int ARM64_INS_DCPS1 = 55;
598  public static final int ARM64_INS_DCPS2 = 56;
599  public static final int ARM64_INS_DCPS3 = 57;
600  public static final int ARM64_INS_DMB = 58;
601  public static final int ARM64_INS_DRPS = 59;
602  public static final int ARM64_INS_DSB = 60;
603  public static final int ARM64_INS_DUP = 61;
604  public static final int ARM64_INS_EON = 62;
605  public static final int ARM64_INS_EOR = 63;
606  public static final int ARM64_INS_ERET = 64;
607  public static final int ARM64_INS_EXTR = 65;
608  public static final int ARM64_INS_EXT = 66;
609  public static final int ARM64_INS_FABD = 67;
610  public static final int ARM64_INS_FABS = 68;
611  public static final int ARM64_INS_FACGE = 69;
612  public static final int ARM64_INS_FACGT = 70;
613  public static final int ARM64_INS_FADD = 71;
614  public static final int ARM64_INS_FADDP = 72;
615  public static final int ARM64_INS_FCCMP = 73;
616  public static final int ARM64_INS_FCCMPE = 74;
617  public static final int ARM64_INS_FCMEQ = 75;
618  public static final int ARM64_INS_FCMGE = 76;
619  public static final int ARM64_INS_FCMGT = 77;
620  public static final int ARM64_INS_FCMLE = 78;
621  public static final int ARM64_INS_FCMLT = 79;
622  public static final int ARM64_INS_FCMP = 80;
623  public static final int ARM64_INS_FCMPE = 81;
624  public static final int ARM64_INS_FCSEL = 82;
625  public static final int ARM64_INS_FCVTAS = 83;
626  public static final int ARM64_INS_FCVTAU = 84;
627  public static final int ARM64_INS_FCVT = 85;
628  public static final int ARM64_INS_FCVTL = 86;
629  public static final int ARM64_INS_FCVTL2 = 87;
630  public static final int ARM64_INS_FCVTMS = 88;
631  public static final int ARM64_INS_FCVTMU = 89;
632  public static final int ARM64_INS_FCVTNS = 90;
633  public static final int ARM64_INS_FCVTNU = 91;
634  public static final int ARM64_INS_FCVTN = 92;
635  public static final int ARM64_INS_FCVTN2 = 93;
636  public static final int ARM64_INS_FCVTPS = 94;
637  public static final int ARM64_INS_FCVTPU = 95;
638  public static final int ARM64_INS_FCVTXN = 96;
639  public static final int ARM64_INS_FCVTXN2 = 97;
640  public static final int ARM64_INS_FCVTZS = 98;
641  public static final int ARM64_INS_FCVTZU = 99;
642  public static final int ARM64_INS_FDIV = 100;
643  public static final int ARM64_INS_FMADD = 101;
644  public static final int ARM64_INS_FMAX = 102;
645  public static final int ARM64_INS_FMAXNM = 103;
646  public static final int ARM64_INS_FMAXNMP = 104;
647  public static final int ARM64_INS_FMAXNMV = 105;
648  public static final int ARM64_INS_FMAXP = 106;
649  public static final int ARM64_INS_FMAXV = 107;
650  public static final int ARM64_INS_FMIN = 108;
651  public static final int ARM64_INS_FMINNM = 109;
652  public static final int ARM64_INS_FMINNMP = 110;
653  public static final int ARM64_INS_FMINNMV = 111;
654  public static final int ARM64_INS_FMINP = 112;
655  public static final int ARM64_INS_FMINV = 113;
656  public static final int ARM64_INS_FMLA = 114;
657  public static final int ARM64_INS_FMLS = 115;
658  public static final int ARM64_INS_FMOV = 116;
659  public static final int ARM64_INS_FMSUB = 117;
660  public static final int ARM64_INS_FMUL = 118;
661  public static final int ARM64_INS_FMULX = 119;
662  public static final int ARM64_INS_FNEG = 120;
663  public static final int ARM64_INS_FNMADD = 121;
664  public static final int ARM64_INS_FNMSUB = 122;
665  public static final int ARM64_INS_FNMUL = 123;
666  public static final int ARM64_INS_FRECPE = 124;
667  public static final int ARM64_INS_FRECPS = 125;
668  public static final int ARM64_INS_FRECPX = 126;
669  public static final int ARM64_INS_FRINTA = 127;
670  public static final int ARM64_INS_FRINTI = 128;
671  public static final int ARM64_INS_FRINTM = 129;
672  public static final int ARM64_INS_FRINTN = 130;
673  public static final int ARM64_INS_FRINTP = 131;
674  public static final int ARM64_INS_FRINTX = 132;
675  public static final int ARM64_INS_FRINTZ = 133;
676  public static final int ARM64_INS_FRSQRTE = 134;
677  public static final int ARM64_INS_FRSQRTS = 135;
678  public static final int ARM64_INS_FSQRT = 136;
679  public static final int ARM64_INS_FSUB = 137;
680  public static final int ARM64_INS_HINT = 138;
681  public static final int ARM64_INS_HLT = 139;
682  public static final int ARM64_INS_HVC = 140;
683  public static final int ARM64_INS_INS = 141;
684  public static final int ARM64_INS_ISB = 142;
685  public static final int ARM64_INS_LD1 = 143;
686  public static final int ARM64_INS_LD1R = 144;
687  public static final int ARM64_INS_LD2R = 145;
688  public static final int ARM64_INS_LD2 = 146;
689  public static final int ARM64_INS_LD3R = 147;
690  public static final int ARM64_INS_LD3 = 148;
691  public static final int ARM64_INS_LD4 = 149;
692  public static final int ARM64_INS_LD4R = 150;
693  public static final int ARM64_INS_LDARB = 151;
694  public static final int ARM64_INS_LDARH = 152;
695  public static final int ARM64_INS_LDAR = 153;
696  public static final int ARM64_INS_LDAXP = 154;
697  public static final int ARM64_INS_LDAXRB = 155;
698  public static final int ARM64_INS_LDAXRH = 156;
699  public static final int ARM64_INS_LDAXR = 157;
700  public static final int ARM64_INS_LDNP = 158;
701  public static final int ARM64_INS_LDP = 159;
702  public static final int ARM64_INS_LDPSW = 160;
703  public static final int ARM64_INS_LDRB = 161;
704  public static final int ARM64_INS_LDR = 162;
705  public static final int ARM64_INS_LDRH = 163;
706  public static final int ARM64_INS_LDRSB = 164;
707  public static final int ARM64_INS_LDRSH = 165;
708  public static final int ARM64_INS_LDRSW = 166;
709  public static final int ARM64_INS_LDTRB = 167;
710  public static final int ARM64_INS_LDTRH = 168;
711  public static final int ARM64_INS_LDTRSB = 169;
712  public static final int ARM64_INS_LDTRSH = 170;
713  public static final int ARM64_INS_LDTRSW = 171;
714  public static final int ARM64_INS_LDTR = 172;
715  public static final int ARM64_INS_LDURB = 173;
716  public static final int ARM64_INS_LDUR = 174;
717  public static final int ARM64_INS_LDURH = 175;
718  public static final int ARM64_INS_LDURSB = 176;
719  public static final int ARM64_INS_LDURSH = 177;
720  public static final int ARM64_INS_LDURSW = 178;
721  public static final int ARM64_INS_LDXP = 179;
722  public static final int ARM64_INS_LDXRB = 180;
723  public static final int ARM64_INS_LDXRH = 181;
724  public static final int ARM64_INS_LDXR = 182;
725  public static final int ARM64_INS_LSL = 183;
726  public static final int ARM64_INS_LSR = 184;
727  public static final int ARM64_INS_MADD = 185;
728  public static final int ARM64_INS_MLA = 186;
729  public static final int ARM64_INS_MLS = 187;
730  public static final int ARM64_INS_MOVI = 188;
731  public static final int ARM64_INS_MOVK = 189;
732  public static final int ARM64_INS_MOVN = 190;
733  public static final int ARM64_INS_MOVZ = 191;
734  public static final int ARM64_INS_MRS = 192;
735  public static final int ARM64_INS_MSR = 193;
736  public static final int ARM64_INS_MSUB = 194;
737  public static final int ARM64_INS_MUL = 195;
738  public static final int ARM64_INS_MVNI = 196;
739  public static final int ARM64_INS_NEG = 197;
740  public static final int ARM64_INS_NOT = 198;
741  public static final int ARM64_INS_ORN = 199;
742  public static final int ARM64_INS_ORR = 200;
743  public static final int ARM64_INS_PMULL2 = 201;
744  public static final int ARM64_INS_PMULL = 202;
745  public static final int ARM64_INS_PMUL = 203;
746  public static final int ARM64_INS_PRFM = 204;
747  public static final int ARM64_INS_PRFUM = 205;
748  public static final int ARM64_INS_RADDHN = 206;
749  public static final int ARM64_INS_RADDHN2 = 207;
750  public static final int ARM64_INS_RBIT = 208;
751  public static final int ARM64_INS_RET = 209;
752  public static final int ARM64_INS_REV16 = 210;
753  public static final int ARM64_INS_REV32 = 211;
754  public static final int ARM64_INS_REV64 = 212;
755  public static final int ARM64_INS_REV = 213;
756  public static final int ARM64_INS_ROR = 214;
757  public static final int ARM64_INS_RSHRN2 = 215;
758  public static final int ARM64_INS_RSHRN = 216;
759  public static final int ARM64_INS_RSUBHN = 217;
760  public static final int ARM64_INS_RSUBHN2 = 218;
761  public static final int ARM64_INS_SABAL2 = 219;
762  public static final int ARM64_INS_SABAL = 220;
763  public static final int ARM64_INS_SABA = 221;
764  public static final int ARM64_INS_SABDL2 = 222;
765  public static final int ARM64_INS_SABDL = 223;
766  public static final int ARM64_INS_SABD = 224;
767  public static final int ARM64_INS_SADALP = 225;
768  public static final int ARM64_INS_SADDLP = 226;
769  public static final int ARM64_INS_SADDLV = 227;
770  public static final int ARM64_INS_SADDL2 = 228;
771  public static final int ARM64_INS_SADDL = 229;
772  public static final int ARM64_INS_SADDW2 = 230;
773  public static final int ARM64_INS_SADDW = 231;
774  public static final int ARM64_INS_SBC = 232;
775  public static final int ARM64_INS_SBFM = 233;
776  public static final int ARM64_INS_SCVTF = 234;
777  public static final int ARM64_INS_SDIV = 235;
778  public static final int ARM64_INS_SHA1C = 236;
779  public static final int ARM64_INS_SHA1H = 237;
780  public static final int ARM64_INS_SHA1M = 238;
781  public static final int ARM64_INS_SHA1P = 239;
782  public static final int ARM64_INS_SHA1SU0 = 240;
783  public static final int ARM64_INS_SHA1SU1 = 241;
784  public static final int ARM64_INS_SHA256H2 = 242;
785  public static final int ARM64_INS_SHA256H = 243;
786  public static final int ARM64_INS_SHA256SU0 = 244;
787  public static final int ARM64_INS_SHA256SU1 = 245;
788  public static final int ARM64_INS_SHADD = 246;
789  public static final int ARM64_INS_SHLL2 = 247;
790  public static final int ARM64_INS_SHLL = 248;
791  public static final int ARM64_INS_SHL = 249;
792  public static final int ARM64_INS_SHRN2 = 250;
793  public static final int ARM64_INS_SHRN = 251;
794  public static final int ARM64_INS_SHSUB = 252;
795  public static final int ARM64_INS_SLI = 253;
796  public static final int ARM64_INS_SMADDL = 254;
797  public static final int ARM64_INS_SMAXP = 255;
798  public static final int ARM64_INS_SMAXV = 256;
799  public static final int ARM64_INS_SMAX = 257;
800  public static final int ARM64_INS_SMC = 258;
801  public static final int ARM64_INS_SMINP = 259;
802  public static final int ARM64_INS_SMINV = 260;
803  public static final int ARM64_INS_SMIN = 261;
804  public static final int ARM64_INS_SMLAL2 = 262;
805  public static final int ARM64_INS_SMLAL = 263;
806  public static final int ARM64_INS_SMLSL2 = 264;
807  public static final int ARM64_INS_SMLSL = 265;
808  public static final int ARM64_INS_SMOV = 266;
809  public static final int ARM64_INS_SMSUBL = 267;
810  public static final int ARM64_INS_SMULH = 268;
811  public static final int ARM64_INS_SMULL2 = 269;
812  public static final int ARM64_INS_SMULL = 270;
813  public static final int ARM64_INS_SQABS = 271;
814  public static final int ARM64_INS_SQADD = 272;
815  public static final int ARM64_INS_SQDMLAL = 273;
816  public static final int ARM64_INS_SQDMLAL2 = 274;
817  public static final int ARM64_INS_SQDMLSL = 275;
818  public static final int ARM64_INS_SQDMLSL2 = 276;
819  public static final int ARM64_INS_SQDMULH = 277;
820  public static final int ARM64_INS_SQDMULL = 278;
821  public static final int ARM64_INS_SQDMULL2 = 279;
822  public static final int ARM64_INS_SQNEG = 280;
823  public static final int ARM64_INS_SQRDMULH = 281;
824  public static final int ARM64_INS_SQRSHL = 282;
825  public static final int ARM64_INS_SQRSHRN = 283;
826  public static final int ARM64_INS_SQRSHRN2 = 284;
827  public static final int ARM64_INS_SQRSHRUN = 285;
828  public static final int ARM64_INS_SQRSHRUN2 = 286;
829  public static final int ARM64_INS_SQSHLU = 287;
830  public static final int ARM64_INS_SQSHL = 288;
831  public static final int ARM64_INS_SQSHRN = 289;
832  public static final int ARM64_INS_SQSHRN2 = 290;
833  public static final int ARM64_INS_SQSHRUN = 291;
834  public static final int ARM64_INS_SQSHRUN2 = 292;
835  public static final int ARM64_INS_SQSUB = 293;
836  public static final int ARM64_INS_SQXTN2 = 294;
837  public static final int ARM64_INS_SQXTN = 295;
838  public static final int ARM64_INS_SQXTUN2 = 296;
839  public static final int ARM64_INS_SQXTUN = 297;
840  public static final int ARM64_INS_SRHADD = 298;
841  public static final int ARM64_INS_SRI = 299;
842  public static final int ARM64_INS_SRSHL = 300;
843  public static final int ARM64_INS_SRSHR = 301;
844  public static final int ARM64_INS_SRSRA = 302;
845  public static final int ARM64_INS_SSHLL2 = 303;
846  public static final int ARM64_INS_SSHLL = 304;
847  public static final int ARM64_INS_SSHL = 305;
848  public static final int ARM64_INS_SSHR = 306;
849  public static final int ARM64_INS_SSRA = 307;
850  public static final int ARM64_INS_SSUBL2 = 308;
851  public static final int ARM64_INS_SSUBL = 309;
852  public static final int ARM64_INS_SSUBW2 = 310;
853  public static final int ARM64_INS_SSUBW = 311;
854  public static final int ARM64_INS_ST1 = 312;
855  public static final int ARM64_INS_ST2 = 313;
856  public static final int ARM64_INS_ST3 = 314;
857  public static final int ARM64_INS_ST4 = 315;
858  public static final int ARM64_INS_STLRB = 316;
859  public static final int ARM64_INS_STLRH = 317;
860  public static final int ARM64_INS_STLR = 318;
861  public static final int ARM64_INS_STLXP = 319;
862  public static final int ARM64_INS_STLXRB = 320;
863  public static final int ARM64_INS_STLXRH = 321;
864  public static final int ARM64_INS_STLXR = 322;
865  public static final int ARM64_INS_STNP = 323;
866  public static final int ARM64_INS_STP = 324;
867  public static final int ARM64_INS_STRB = 325;
868  public static final int ARM64_INS_STR = 326;
869  public static final int ARM64_INS_STRH = 327;
870  public static final int ARM64_INS_STTRB = 328;
871  public static final int ARM64_INS_STTRH = 329;
872  public static final int ARM64_INS_STTR = 330;
873  public static final int ARM64_INS_STURB = 331;
874  public static final int ARM64_INS_STUR = 332;
875  public static final int ARM64_INS_STURH = 333;
876  public static final int ARM64_INS_STXP = 334;
877  public static final int ARM64_INS_STXRB = 335;
878  public static final int ARM64_INS_STXRH = 336;
879  public static final int ARM64_INS_STXR = 337;
880  public static final int ARM64_INS_SUBHN = 338;
881  public static final int ARM64_INS_SUBHN2 = 339;
882  public static final int ARM64_INS_SUB = 340;
883  public static final int ARM64_INS_SUQADD = 341;
884  public static final int ARM64_INS_SVC = 342;
885  public static final int ARM64_INS_SYSL = 343;
886  public static final int ARM64_INS_SYS = 344;
887  public static final int ARM64_INS_TBL = 345;
888  public static final int ARM64_INS_TBNZ = 346;
889  public static final int ARM64_INS_TBX = 347;
890  public static final int ARM64_INS_TBZ = 348;
891  public static final int ARM64_INS_TRN1 = 349;
892  public static final int ARM64_INS_TRN2 = 350;
893  public static final int ARM64_INS_UABAL2 = 351;
894  public static final int ARM64_INS_UABAL = 352;
895  public static final int ARM64_INS_UABA = 353;
896  public static final int ARM64_INS_UABDL2 = 354;
897  public static final int ARM64_INS_UABDL = 355;
898  public static final int ARM64_INS_UABD = 356;
899  public static final int ARM64_INS_UADALP = 357;
900  public static final int ARM64_INS_UADDLP = 358;
901  public static final int ARM64_INS_UADDLV = 359;
902  public static final int ARM64_INS_UADDL2 = 360;
903  public static final int ARM64_INS_UADDL = 361;
904  public static final int ARM64_INS_UADDW2 = 362;
905  public static final int ARM64_INS_UADDW = 363;
906  public static final int ARM64_INS_UBFM = 364;
907  public static final int ARM64_INS_UCVTF = 365;
908  public static final int ARM64_INS_UDIV = 366;
909  public static final int ARM64_INS_UHADD = 367;
910  public static final int ARM64_INS_UHSUB = 368;
911  public static final int ARM64_INS_UMADDL = 369;
912  public static final int ARM64_INS_UMAXP = 370;
913  public static final int ARM64_INS_UMAXV = 371;
914  public static final int ARM64_INS_UMAX = 372;
915  public static final int ARM64_INS_UMINP = 373;
916  public static final int ARM64_INS_UMINV = 374;
917  public static final int ARM64_INS_UMIN = 375;
918  public static final int ARM64_INS_UMLAL2 = 376;
919  public static final int ARM64_INS_UMLAL = 377;
920  public static final int ARM64_INS_UMLSL2 = 378;
921  public static final int ARM64_INS_UMLSL = 379;
922  public static final int ARM64_INS_UMOV = 380;
923  public static final int ARM64_INS_UMSUBL = 381;
924  public static final int ARM64_INS_UMULH = 382;
925  public static final int ARM64_INS_UMULL2 = 383;
926  public static final int ARM64_INS_UMULL = 384;
927  public static final int ARM64_INS_UQADD = 385;
928  public static final int ARM64_INS_UQRSHL = 386;
929  public static final int ARM64_INS_UQRSHRN = 387;
930  public static final int ARM64_INS_UQRSHRN2 = 388;
931  public static final int ARM64_INS_UQSHL = 389;
932  public static final int ARM64_INS_UQSHRN = 390;
933  public static final int ARM64_INS_UQSHRN2 = 391;
934  public static final int ARM64_INS_UQSUB = 392;
935  public static final int ARM64_INS_UQXTN2 = 393;
936  public static final int ARM64_INS_UQXTN = 394;
937  public static final int ARM64_INS_URECPE = 395;
938  public static final int ARM64_INS_URHADD = 396;
939  public static final int ARM64_INS_URSHL = 397;
940  public static final int ARM64_INS_URSHR = 398;
941  public static final int ARM64_INS_URSQRTE = 399;
942  public static final int ARM64_INS_URSRA = 400;
943  public static final int ARM64_INS_USHLL2 = 401;
944  public static final int ARM64_INS_USHLL = 402;
945  public static final int ARM64_INS_USHL = 403;
946  public static final int ARM64_INS_USHR = 404;
947  public static final int ARM64_INS_USQADD = 405;
948  public static final int ARM64_INS_USRA = 406;
949  public static final int ARM64_INS_USUBL2 = 407;
950  public static final int ARM64_INS_USUBL = 408;
951  public static final int ARM64_INS_USUBW2 = 409;
952  public static final int ARM64_INS_USUBW = 410;
953  public static final int ARM64_INS_UZP1 = 411;
954  public static final int ARM64_INS_UZP2 = 412;
955  public static final int ARM64_INS_XTN2 = 413;
956  public static final int ARM64_INS_XTN = 414;
957  public static final int ARM64_INS_ZIP1 = 415;
958  public static final int ARM64_INS_ZIP2 = 416;
959  public static final int ARM64_INS_MNEG = 417;
960  public static final int ARM64_INS_UMNEGL = 418;
961  public static final int ARM64_INS_SMNEGL = 419;
962  public static final int ARM64_INS_NOP = 420;
963  public static final int ARM64_INS_YIELD = 421;
964  public static final int ARM64_INS_WFE = 422;
965  public static final int ARM64_INS_WFI = 423;
966  public static final int ARM64_INS_SEV = 424;
967  public static final int ARM64_INS_SEVL = 425;
968  public static final int ARM64_INS_NGC = 426;
969  public static final int ARM64_INS_SBFIZ = 427;
970  public static final int ARM64_INS_UBFIZ = 428;
971  public static final int ARM64_INS_SBFX = 429;
972  public static final int ARM64_INS_UBFX = 430;
973  public static final int ARM64_INS_BFI = 431;
974  public static final int ARM64_INS_BFXIL = 432;
975  public static final int ARM64_INS_CMN = 433;
976  public static final int ARM64_INS_MVN = 434;
977  public static final int ARM64_INS_TST = 435;
978  public static final int ARM64_INS_CSET = 436;
979  public static final int ARM64_INS_CINC = 437;
980  public static final int ARM64_INS_CSETM = 438;
981  public static final int ARM64_INS_CINV = 439;
982  public static final int ARM64_INS_CNEG = 440;
983  public static final int ARM64_INS_SXTB = 441;
984  public static final int ARM64_INS_SXTH = 442;
985  public static final int ARM64_INS_SXTW = 443;
986  public static final int ARM64_INS_CMP = 444;
987  public static final int ARM64_INS_UXTB = 445;
988  public static final int ARM64_INS_UXTH = 446;
989  public static final int ARM64_INS_UXTW = 447;
990  public static final int ARM64_INS_IC = 448;
991  public static final int ARM64_INS_DC = 449;
992  public static final int ARM64_INS_AT = 450;
993  public static final int ARM64_INS_TLBI = 451;
994  public static final int ARM64_INS_NEGS = 452;
995  public static final int ARM64_INS_NGCS = 453;
996  public static final int ARM64_INS_ENDING = 454;
997 
998  public static final int ARM64_GRP_INVALID = 0;
999  public static final int ARM64_GRP_JUMP = 1;
1000  public static final int ARM64_GRP_CALL = 2;
1001  public static final int ARM64_GRP_RET = 3;
1002  public static final int ARM64_GRP_INT = 4;
1003  public static final int ARM64_GRP_PRIVILEGE = 6;
1004  public static final int ARM64_GRP_BRANCH_RELATIVE = 7;
1005  public static final int ARM64_GRP_CRYPTO = 128;
1006  public static final int ARM64_GRP_FPARMV8 = 129;
1007  public static final int ARM64_GRP_NEON = 130;
1008  public static final int ARM64_GRP_CRC = 131;
1009  public static final int ARM64_GRP_ENDING = 132;
1010 }
static final int ARM64_INS_SADDW2
static final int ARM64_SYSREG_MIDR_EL1
static final int ARM64_PSTATE_SPSEL
static final int ARM64_INS_SQSHL
static final int ARM64_INS_TST
static final int ARM64_INS_FCMGE
static final int ARM64_INS_FDIV
static final int ARM64_REG_NZCV
static final int ARM64_REG_V21
static final int ARM64_TLBI_ASIDE1IS
static final int ARM64_INS_LD3R
static final int ARM64_REG_H31
static final int ARM64_INS_DC
static final int ARM64_INS_ST4
static final int ARM64_INS_CMGT
static final int ARM64_SYSREG_ID_A64PFR0_EL1
static final int ARM64_INS_DSB
static final int ARM64_SYSREG_ID_ISAR0_EL1
static final int ARM64_INS_MUL
static final int ARM64_REG_X15
static final int ARM64_TLBI_VAE1IS
static final int ARM64_CC_LT
static final int ARM64_SYSREG_MPIDR_EL1
static final int ARM64_INS_FCVTL2
static final int ARM64_INS_FRINTX
static final int ARM64_EXT_SXTH
static final int ARM64_INS_SSHLL
static final int ARM64_PRFM_PLIL1KEEP
static final int ARM64_INS_BL
static final int ARM64_INS_CRC32H
static final int ARM64_REG_S9
static final int ARM64_SYSREG_TRCDEVAFF1
static final int ARM64_INS_SEV
static final int ARM64_REG_V1
static final int ARM64_INS_FRINTI
static final int ARM64_INS_BR
static final int ARM64_INS_UMULL
static final int ARM64_PRFM_PLIL1STRM
static final int ARM64_INS_SQDMLAL2
static final int ARM64_GRP_FPARMV8
static final int ARM64_GRP_CRC
static final int ARM64_SYSREG_ICC_DIR_EL1
static final int ARM64_INS_CMLE
static final int ARM64_REG_D8
static final int ARM64_INS_UADDL2
static final int ARM64_INS_SQDMULL
static final int ARM64_INS_SMC
static final int ARM64_REG_W25
static final int ARM64_REG_W21
static final int ARM64_REG_W5
static final int ARM64_INS_ADR
static final int ARM64_SYSREG_ICH_EISR_EL2
static final int ARM64_GRP_PRIVILEGE
static final int ARM64_BARRIER_NSH
static final int ARM64_INS_MOVN
static final int ARM64_INS_SCVTF
static final int ARM64_SFT_ASR
static final int ARM64_INS_LD1
static final int ARM64_REG_S22
static final int ARM64_REG_V4
static final int ARM64_INS_STNP
static final int ARM64_REG_D22
static final int ARM64_INS_RET
static final int ARM64_REG_V28
static final int ARM64_REG_V6
static final int ARM64_REG_X17
static final int ARM64_INS_SQRSHL
static final int ARM64_REG_D24
static final int ARM64_INS_CLREX
static final int ARM64_REG_X0
static final int ARM64_INS_SRSHL
static final int ARM64_SYSREG_ICC_ASGI1R_EL1
static final int ARM64_DC_CVAU
static final int ARM64_INS_DCPS1
static final int ARM64_REG_S24
static final int ARM64_REG_Q24
static final int ARM64_INS_ASR
static final int ARM64_REG_Q13
static final int ARM64_SYSREG_ID_ISAR4_EL1
static final int ARM64_INS_SQDMULH
static final int ARM64_INS_RSHRN2
static final int ARM64_SYSREG_PMCEID0_EL0
static final int ARM64_DC_CVAC
static final int ARM64_INS_ADRP
static final int ARM64_SYSREG_ICC_EOIR1_EL1
static final int ARM64_AT_S1E0W
static final int ARM64_INS_SHADD
static final int ARM64_INS_USRA
static final int ARM64_INS_SXTH
static final int ARM64_INS_CBNZ
static final int ARM64_CC_GE
static final int ARM64_INS_SQRDMULH
static final int ARM64_INS_PMULL2
static final int ARM64_REG_S21
static final int ARM64_REG_H25
static final int ARM64_INS_FMAXP
static final int ARM64_INS_FADD
static final int ARM64_INS_LD4
static final int ARM64_INS_SHRN2
static final int ARM64_INS_HINT
static final int ARM64_INS_SABAL2
static final int ARM64_REG_W2
static final int ARM64_INS_LDRSB
static final int ARM64_REG_S29
static final int ARM64_INS_HVC
static final int ARM64_INS_LDTRSW
static final int ARM64_CC_LO
static final int ARM64_EXT_UXTB
static final int ARM64_REG_H3
static final int ARM64_INS_CRC32B
static final int ARM64_REG_H21
static final int ARM64_INS_USUBL
static final int ARM64_INS_USUBW
static final int ARM64_INS_CNT
static final int ARM64_INS_UQSHL
static final int ARM64_TLBI_VAAE1IS
static final int ARM64_INS_LD1R
static final int ARM64_SYSREG_RVBAR_EL1
static final int ARM64_INS_EOR
static final int ARM64_INS_SMOV
static final int ARM64_INS_FADDP
static final int ARM64_INS_B
static final int ARM64_INS_RADDHN
static final int ARM64_REG_X16
static final int ARM64_INS_PMUL
static final int ARM64_INS_UMNEGL
static final int ARM64_REG_W28
static final int ARM64_INS_CINC
static final int ARM64_REG_Q29
static final int ARM64_REG_V11
static final int ARM64_INS_SBFIZ
static final int ARM64_INS_STURH
static final int ARM64_INS_REV16
static final int ARM64_INS_SRSHR
static final int ARM64_REG_X19
static final int ARM64_INS_NEG
static final int ARM64_INS_LDTRH
static final int ARM64_INS_AND
static final int ARM64_INS_FCVTXN2
static final int ARM64_REG_W1
static final int ARM64_REG_Q12
static final int ARM64_EXT_INVALID
static final int ARM64_IC_INVALID
static final int ARM64_REG_V14
static final int ARM64_INS_PRFM
static final int ARM64_REG_W15
static final int ARM64_REG_V3
static final int ARM64_PSTATE_INVALID
static final int ARM64_INS_UZP2
static final int ARM64_INS_USHLL
static final int ARM64_INS_BIC
static final int ARM64_REG_W8
static final int ARM64_SYSREG_ID_A64MMFR0_EL1
static final int ARM64_SYSREG_TRCOSLAR
static final int ARM64_INS_LDPSW
static final int ARM64_REG_S11
static final int ARM64_INS_UMULH
static final int ARM64_REG_B10
static final int ARM64_INS_SQDMLSL2
static final int ARM64_INS_FCVTL
static final int ARM64_PRFM_PSTL1STRM
static final int ARM64_SYSREG_TRCPIDR0
static final int ARM64_INS_STLRH
static final int ARM64_REG_Q4
static final int ARM64_INS_FCCMPE
static final int ARM64_VAS_1D
static final int ARM64_INS_BSL
static final int ARM64_REG_S6
static final int ARM64_INS_CSINC
static final int ARM64_SYSREG_ID_A64PFR1_EL1
static final int ARM64_REG_B3
static final int ARM64_REG_W0
static final int ARM64_INS_UMLSL2
static final int ARM64_REG_H10
static final int ARM64_REG_X11
static final int ARM64_INS_FMINNM
static final int ARM64_INS_SHSUB
static final int ARM64_INS_CSINV
static final int ARM64_REG_S16
static final int ARM64_REG_D19
static final int ARM64_INS_FNMADD
static final int ARM64_INS_STUR
static final int ARM64_SYSREG_ISR_EL1
static final int ARM64_INS_FCVT
static final int ARM64_REG_W13
static final int ARM64_INS_MOV
static final int ARM64_REG_B25
static final int ARM64_INS_FNMUL
static final int ARM64_SYSREG_TRCIDR11
static final int ARM64_INS_SMADDL
static final int ARM64_BARRIER_OSHLD
static final int ARM64_PRFM_PSTL3KEEP
static final int ARM64_REG_Q14
static final int ARM64_REG_B30
static final int ARM64_SYSREG_ID_ISAR1_EL1
static final int ARM64_REG_V27
static final int ARM64_REG_W6
static final int ARM64_INS_SSHLL2
static final int ARM64_INS_YIELD
static final int ARM64_SYSREG_ID_MMFR3_EL1
static final int ARM64_INS_ST1
static final int ARM64_INS_STTRB
static final int ARM64_INS_UADDW2
static final int ARM64_REG_D6
static final int ARM64_REG_S13
static final int ARM64_INS_SYS
static final int ARM64_OP_FP
static final int ARM64_INS_SQDMLAL
static final int ARM64_INS_FABS
static final int ARM64_BARRIER_OSHST
static final int ARM64_REG_S28
static final int ARM64_REG_V30
static final int ARM64_REG_B7
static final int ARM64_REG_S14
static final int ARM64_INS_SUBHN2
static final int ARM64_SYSREG_REVIDR_EL1
static final int ARM64_SYSREG_ICC_EOIR0_EL1
static final int ARM64_TLBI_IPAS2LE1IS
static final int ARM64_INS_UMULL2
static final int ARM64_REG_X3
static final int ARM64_REG_B2
static final int ARM64_INS_EON
static final int ARM64_INS_SHLL
static final int ARM64_INS_SHA1M
static final int ARM64_TLBI_ALLE1IS
static final int ARM64_SYSREG_TRCLAR
static final int ARM64_INS_EXT
static final int ARM64_REG_Q23
static final int ARM64_INS_CMHS
static final int ARM64_INS_UQSUB
static final int ARM64_EXT_UXTX
static final int ARM64_OP_INVALID
static final int ARM64_INS_SHA1SU0
static final int ARM64_INS_LDRB
static final int ARM64_REG_S3
static final int ARM64_REG_H8
static final int ARM64_REG_Q27
static final int ARM64_SYSREG_ICC_IAR1_EL1
static final int ARM64_INS_SABD
static final int ARM64_INS_SQSHRN
static final int ARM64_INS_TBL
static final int ARM64_AT_S1E1R
static final int ARM64_REG_D11
static final int ARM64_INS_SMULH
static final int ARM64_INS_CMLT
static final int ARM64_INS_MSR
static final int ARM64_INS_FMADD
static final int ARM64_INS_LDUR
static final int ARM64_INS_STR
static final int ARM64_PSTATE_DAIFCLR
static final int ARM64_INS_AESE
static final int ARM64_INS_LDXRB
static final int ARM64_INS_FMULX
static final int ARM64_INS_FMOV
static final int ARM64_INS_CCMP
static final int ARM64_INS_SYSL
static final int ARM64_INS_LDURSH
static final int ARM64_TLBI_VALE3
static final int ARM64_INS_IC
static final int ARM64_REG_H20
static final int ARM64_INS_LDARH
static final int ARM64_INS_USHLL2
static final int ARM64_INS_FCCMP
static final int ARM64_REG_V24
static final int ARM64_DC_CSW
static final int ARM64_REG_W27
static final int ARM64_REG_W14
static final int ARM64_AT_S1E1W
static final int ARM64_INS_USUBW2
static final int ARM64_INS_SHA1H
static final int ARM64_REG_Q20
static final int ARM64_PRFM_PLDL2STRM
static final int ARM64_CC_PL
static final int ARM64_INS_SMLSL
static final int ARM64_AT_S1E0R
static final int ARM64_REG_B23
static final int ARM64_INS_SQXTN
static final int ARM64_INS_FMAX
static final int ARM64_REG_X14
static final int ARM64_SYSREG_TRCIDR10
static final int ARM64_REG_Q22
static final int ARM64_INS_FMIN
static final int ARM64_EXT_UXTH
static final int ARM64_INS_SMNEGL
static final int ARM64_SYSREG_TRCCIDR0
static final int ARM64_INS_UMLAL2
static final int ARM64_INS_LDAXR
static final int ARM64_INS_FACGT
static final int ARM64_INS_STTRH
static final int ARM64_EXT_SXTB
static final int ARM64_REG_H27
static final int ARM64_INS_SQRSHRN2
static final int ARM64_INS_CINV
static final int ARM64_TLBI_VALE2IS
static final int ARM64_INS_UHSUB
static final int ARM64_BARRIER_ISH
static final int ARM64_INS_FCVTN
static final int ARM64_GRP_RET
static final int ARM64_REG_D16
static final int ARM64_SYSREG_ICC_SGI0R_EL1
static final int ARM64_INS_CLZ
static final int ARM64_INS_DCPS2
static final int ARM64_REG_V12
static final int ARM64_INS_UXTH
static final int ARM64_INS_CSETM
static final int ARM64_REG_D25
static final int ARM64_REG_S25
static final int ARM64_REG_B14
static final int ARM64_REG_Q26
static final int ARM64_INS_UQADD
static final int ARM64_REG_V22
static final int ARM64_INS_CCMN
static final int ARM64_REG_D5
static final int ARM64_SYSREG_MVFR0_EL1
static final int ARM64_INS_FCVTNS
static final int ARM64_INS_SQDMULL2
static final int ARM64_INS_CSET
static final int ARM64_SYSREG_MDRAR_EL1
static final int ARM64_REG_H12
static final int ARM64_AT_S12E1R
static final int ARM64_REG_D7
static final int ARM64_REG_X26
static final int ARM64_INS_UQRSHRN2
static final int ARM64_INS_SADDL2
static final int ARM64_INS_SBC
static final int ARM64_SYSREG_PMSWINC_EL0
static final int ARM64_INS_BFM
static final int ARM64_REG_X13
static final int ARM64_REG_D14
static final int ARM64_INS_FSUB
static final int ARM64_REG_V10
static final int ARM64_INS_URSQRTE
static final int ARM64_REG_B15
static final int ARM64_INS_SQSHRUN2
static final int ARM64_INS_SSUBW
static final int ARM64_SYSREG_TRCDEVTYPE
static final int ARM64_INS_ADDV
static final int ARM64_INS_SMLAL2
static final int ARM64_INS_LD2R
static final int ARM64_INS_FCVTZU
static final int ARM64_TLBI_VMALLS12E1
static final int ARM64_REG_V15
static final int ARM64_INS_SQABS
static final int ARM64_REG_V16
static final int ARM64_REG_H4
static final int ARM64_BARRIER_NSHST
static final int ARM64_TLBI_VMALLE1
static final int ARM64_REG_S8
static final int ARM64_INS_USHR
static final int ARM64_VAS_4H
static final int ARM64_PSTATE_DAIFSET
static final int ARM64_CC_NV
static final int ARM64_REG_Q1
static final int ARM64_SYSREG_ID_A64ISAR0_EL1
static final int ARM64_INS_SHA1C
static final int ARM64_DC_CIVAC
static final int ARM64_TLBI_VAE3IS
static final int ARM64_REG_S17
static final int ARM64_GRP_BRANCH_RELATIVE
static final int ARM64_REG_W24
static final int ARM64_INS_CSNEG
static final int ARM64_REG_Q31
static final int ARM64_GRP_CALL
static final int ARM64_VAS_1Q
static final int ARM64_INS_MLA
static final int ARM64_INS_SMAXP
static final int ARM64_INS_MOVZ
static final int ARM64_INS_CMN
static final int ARM64_REG_H14
static final int ARM64_SYSREG_ID_A64AFR0_EL1
static final int ARM64_INS_CMHI
static final int ARM64_TLBI_ASIDE1
static final int ARM64_INS_UMLAL
static final int ARM64_VAS_8B
static final int ARM64_INS_STRH
static final int ARM64_REG_B4
static final int ARM64_REG_D17
static final int ARM64_REG_S12
static final int ARM64_SYSREG_ID_ISAR5_EL1
static final int ARM64_INS_CRC32CB
static final int ARM64_REG_X8
static final int ARM64_REG_H7
static final int ARM64_REG_X12
static final int ARM64_OP_IMM
static final int ARM64_REG_H18
static final int ARM64_SFT_ROR
static final int ARM64_SYSREG_PMCEID1_EL0
static final int ARM64_REG_H17
static final int ARM64_SYSREG_ICH_VTR_EL2
static final int ARM64_INS_UADDL
static final int ARM64_INS_LDP
static final int ARM64_INS_LDR
static final int ARM64_INS_UMSUBL
static final int ARM64_REG_S5
static final int ARM64_SYSREG_ICC_IAR0_EL1
static final int ARM64_INS_SADDLP
static final int ARM64_INS_FCVTPS
static final int ARM64_CC_LE
static final int ARM64_INS_TBNZ
static final int ARM64_SYSREG_TRCPIDR6
static final int ARM64_INS_SQSUB
static final int ARM64_INS_SHA256H2
static final int ARM64_INS_LDXRH
static final int ARM64_INS_LDAXRB
static final int ARM64_INS_BIF
static final int ARM64_INS_LDRH
static final int ARM64_DC_ZVA
static final int ARM64_INS_ST3
static final int ARM64_INS_UQRSHRN
static final int ARM64_INS_AESIMC
static final int ARM64_REG_W16
static final int ARM64_INS_UBFIZ
static final int ARM64_TLBI_ALLE2
static final int ARM64_INS_MOVI
static final int ARM64_INS_MOVK
static final int ARM64_INS_FRECPS
static final int ARM64_INS_STXP
static final int ARM64_INS_RSUBHN
static final int ARM64_INS_FMINNMV
static final int ARM64_REG_Q5
static final int ARM64_INS_SQSHLU
static final int ARM64_INS_MRS
static final int ARM64_INS_UXTB
static final int ARM64_SYSREG_TRCIDR5
static final int ARM64_REG_Q16
static final int ARM64_REG_W9
static final int ARM64_INS_FCVTZS
static final int ARM64_INS_CLS
static final int ARM64_TLBI_IPAS2E1IS
static final int ARM64_SYSREG_TRCPIDR2
static final int ARM64_INS_XTN
static final int ARM64_INS_CMP
static final int ARM64_INS_USHL
static final int ARM64_REG_B17
static final int ARM64_INS_SMLAL
static final int ARM64_INS_URSRA
static final int ARM64_INS_REV64
static final int ARM64_REG_Q28
static final int ARM64_INS_UQXTN2
static final int ARM64_INS_FMINP
static final int ARM64_GRP_CRYPTO
static final int ARM64_REG_B13
static final int ARM64_INS_FMINV
static final int ARM64_REG_W11
static final int ARM64_REG_D0
static final int ARM64_INS_WFE
static final int ARM64_INS_TBZ
static final int ARM64_INS_TRN2
static final int ARM64_SYSREG_ID_AFR0_EL1
static final int ARM64_REG_Q7
static final int ARM64_INS_SMULL
static final int ARM64_INS_LDAXRH
static final int ARM64_SYSREG_ID_A64DFR0_EL1
static final int ARM64_INS_SBFM
static final int ARM64_INS_FRSQRTS
static final int ARM64_REG_Q0
static final int ARM64_INS_NOP
static final int ARM64_INS_STLXRH
static final int ARM64_INS_SUB
static final int ARM64_INS_CRC32CH
static final int ARM64_BARRIER_ISHLD
static final int ARM64_INS_UABD
static final int ARM64_INS_UMOV
static final int ARM64_VAS_2S
static final int ARM64_SYSREG_RVBAR_EL2
static final int ARM64_REG_X10
static final int ARM64_INS_AT
static final int ARM64_OP_CIMM
static final int ARM64_CC_AL
static final int ARM64_TLBI_VALE2
static final int ARM64_DC_IVAC
static final int ARM64_REG_D27
static final int ARM64_REG_X20
static final int ARM64_INS_FCMEQ
static final int ARM64_REG_INVALID
static final int ARM64_REG_B31
static final int ARM64_INS_MADD
static final int ARM64_REG_S15
static final int ARM64_INS_UADDLP
static final int ARM64_INS_AESMC
static final int ARM64_INS_SADDLV
static final int ARM64_REG_W20
static final int ARM64_REG_V26
static final int ARM64_REG_D30
static final int ARM64_INS_URECPE
static final int ARM64_SYSREG_TRCIDR0
static final int ARM64_SYSREG_TRCPIDR3
static final int ARM64_INS_UDIV
static final int ARM64_REG_ENDING
static final int ARM64_INS_ORR
static final int ARM64_REG_V13
static final int ARM64_SYSREG_TRCIDR6
static final int ARM64_INS_TRN1
static final int ARM64_REG_S20
static final int ARM64_REG_V8
static final int ARM64_REG_X5
static final int ARM64_INS_SUBHN
static final int ARM64_REG_B28
static final int ARM64_REG_LR
static final int ARM64_SYSREG_TRCIDR2
static final int ARM64_BARRIER_OSH
static final int ARM64_INS_CMGE
static final int ARM64_SYSREG_ID_PFR1_EL1
static final int ARM64_REG_D15
static final int ARM64_REG_S4
static final int ARM64_SYSREG_TRCCIDR1
static final int ARM64_REG_V19
static final int ARM64_REG_S31
static final int ARM64_REG_H19
static final int ARM64_REG_Q30
static final int ARM64_REG_S1
static final int ARM64_INS_MLS
static final int ARM64_PRFM_PLIL2KEEP
static final int ARM64_REG_H22
static final int ARM64_SYSREG_TRCIDR13
static final int ARM64_REG_X29
static final int ARM64_INS_UABDL2
static final int ARM64_INS_FMSUB
static final int ARM64_SYSREG_TRCPIDR4
static final int ARM64_REG_B27
static final int ARM64_REG_V5
static final int ARM64_TLBI_VALE1
static final int ARM64_SYSREG_CNTPCT_EL0
static final int ARM64_INS_FMINNMP
static final int ARM64_SFT_MSL
Definition: Arm64_const.java:8
static final int ARM64_REG_H13
static final int ARM64_REG_X30
static final int ARM64_INS_UCVTF
static final int ARM64_INS_URHADD
static final int ARM64_INS_UMADDL
static final int ARM64_OP_PSTATE
static final int ARM64_INS_MNEG
static final int ARM64_INS_SHLL2
static final int ARM64_INS_SHA256H
static final int ARM64_INS_STURB
static final int ARM64_INS_LDAR
static final int ARM64_INS_SRSRA
static final int ARM64_INS_SBFX
static final int ARM64_INS_NEGS
static final int ARM64_REG_X25
static final int ARM64_INS_FCMPE
static final int ARM64_INS_SLI
static final int ARM64_REG_X23
static final int ARM64_REG_Q9
static final int ARM64_REG_H29
static final int ARM64_INS_SHRN
static final int ARM64_REG_V2
static final int ARM64_SFT_LSL
Definition: Arm64_const.java:7
static final int ARM64_AT_S1E2R
static final int ARM64_INS_UADALP
static final int ARM64_INS_FRINTP
static final int ARM64_SYSREG_ICC_SGI1R_EL1
static final int ARM64_SYSREG_ID_A64DFR1_EL1
static final int ARM64_TLBI_VALE1IS
static final int ARM64_INS_SUQADD
static final int ARM64_IC_IALLUIS
static final int ARM64_REG_D31
static final int ARM64_SYSREG_ID_MMFR1_EL1
static final int ARM64_SYSREG_ID_ISAR2_EL1
static final int ARM64_SYSREG_TRCIDR3
static final int ARM64_REG_D28
static final int ARM64_REG_X1
static final int ARM64_INS_STXR
static final int ARM64_SYSREG_TRCAUTHSTATUS
static final int ARM64_INS_STP
static final int ARM64_INS_FCVTNU
static final int ARM64_INS_LDRSW
static final int ARM64_INS_BFXIL
static final int ARM64_INS_DCPS3
static final int ARM64_REG_V0
static final int ARM64_REG_X2
static final int ARM64_INS_FCSEL
static final int ARM64_REG_X28
static final int ARM64_INS_FMAXNMP
static final int ARM64_REG_W17
static final int ARM64_PRFM_PSTL3STRM
static final int ARM64_INS_MVNI
static final int ARM64_INS_SMINV
static final int ARM64_SYSREG_AIDR_EL1
static final int ARM64_REG_H0
static final int ARM64_INS_SMULL2
static final int ARM64_SYSREG_ICC_HPPIR0_EL1
static final int ARM64_INS_STXRH
static final int ARM64_REG_W3
static final int ARM64_OP_REG
static final int ARM64_REG_B12
static final int ARM64_INS_ADD
static final int ARM64_INS_SHA1SU1
static final int ARM64_SYSREG_ID_MMFR0_EL1
static final int ARM64_REG_D13
static final int ARM64_REG_S23
static final int ARM64_REG_WSP
static final int ARM64_INS_INVALID
static final int ARM64_TLBI_VMALLS12E1IS
static final int ARM64_REG_D20
static final int ARM64_REG_Q15
static final int ARM64_INS_LDXP
static final int ARM64_SYSREG_MVFR1_EL1
static final int ARM64_REG_H9
static final int ARM64_REG_D18
static final int ARM64_INS_STRB
static final int ARM64_INS_SQXTUN2
static final int ARM64_REG_H5
static final int ARM64_INS_LDNP
static final int ARM64_INS_UABAL2
static final int ARM64_SYSREG_TRCDEVID
static final int ARM64_SYSREG_TRCIDR7
static final int ARM64_INS_UQRSHL
static final int ARM64_REG_B1
static final int ARM64_AT_S1E3R
static final int ARM64_SYSREG_OSLAR_EL1
static final int ARM64_SYSREG_ID_DFR0_EL1
static final int ARM64_REG_D10
static final int ARM64_INS_SQSHRN2
static final int ARM64_OP_BARRIER
static final int ARM64_OP_REG_MSR
static final int ARM64_REG_V9
static final int ARM64_INS_LDRSH
static final int ARM64_SYSREG_CTR_EL0
static final int ARM64_REG_V31
static final int ARM64_INS_ROR
static final int ARM64_INS_ZIP1
static final int ARM64_INS_STTR
static final int ARM64_REG_S26
static final int ARM64_INS_PRFUM
static final int ARM64_SFT_LSR
Definition: Arm64_const.java:9
static final int ARM64_INS_UMINP
static final int ARM64_INS_FCVTN2
static final int ARM64_REG_S0
static final int ARM64_INS_FCMGT
static final int ARM64_REG_WZR
static final int ARM64_INS_LD3
static final int ARM64_INS_LDTR
static final int ARM64_INS_SABDL2
static final int ARM64_SYSREG_TRCIDR9
static final int ARM64_INS_FCVTAS
static final int ARM64_INS_UQSHRN2
static final int ARM64_REG_Q18
static final int ARM64_GRP_INT
static final int ARM64_INS_BRK
static final int ARM64_SYSREG_ICC_RPR_EL1
static final int ARM64_VAS_4S
static final int ARM64_SFT_INVALID
Definition: Arm64_const.java:6
static final int ARM64_INS_FCVTMS
static final int ARM64_INS_SSHR
static final int ARM64_INS_FCVTPU
static final int ARM64_GRP_JUMP
static final int ARM64_SYSREG_TRCPIDR1
static final int ARM64_PRFM_PSTL2STRM
static final int ARM64_INS_SRI
static final int ARM64_REG_FP
static final int ARM64_REG_W23
static final int ARM64_INS_FNMSUB
static final int ARM64_INS_STXRB
static final int ARM64_INS_UQSHRN
static final int ARM64_INS_FMAXNMV
static final int ARM64_INS_SSUBL
static final int ARM64_BARRIER_ISHST
static final int ARM64_INS_UMLSL
static final int ARM64_SYSREG_TRCIDR1
static final int ARM64_BARRIER_INVALID
static final int ARM64_SYSREG_TRCDEVARCH
static final int ARM64_INS_SABAL
static final int ARM64_SYSREG_TRCIDR4
static final int ARM64_REG_V25
static final int ARM64_REG_D4
static final int ARM64_INS_STLRB
static final int ARM64_INS_UHADD
static final int ARM64_REG_Q11
static final int ARM64_INS_LDURSB
static final int ARM64_INS_CNEG
static final int ARM64_IC_IVAU
static final int ARM64_BARRIER_SY
static final int ARM64_TLBI_VAE3
static final int ARM64_INS_DMB
static final int ARM64_INS_FCVTMU
static final int ARM64_CC_GT
static final int ARM64_REG_Q2
static final int ARM64_SYSREG_ICC_HPPIR1_EL1
static final int ARM64_INS_REV
static final int ARM64_REG_D12
static final int ARM64_INS_FACGE
static final int ARM64_PRFM_PLIL3STRM
static final int ARM64_OP_MEM
static final int ARM64_REG_D3
static final int ARM64_REG_H30
static final int ARM64_VAS_INVALID
static final int ARM64_INS_DUP
static final int ARM64_INS_LDXR
static final int ARM64_INS_LDURB
static final int ARM64_PRFM_PLDL2KEEP
static final int ARM64_INS_LDURSW
static final int ARM64_TLBI_VAALE1
static final int ARM64_REG_W4
static final int ARM64_CC_EQ
static final int ARM64_INS_FSQRT
static final int ARM64_INS_UABAL
static final int ARM64_EXT_SXTX
static final int ARM64_SYSREG_TRCPDSR
static final int ARM64_INS_SADDL
static final int ARM64_EXT_UXTW
static final int ARM64_INS_LDTRSB
static final int ARM64_SYSREG_DBGDTRRX_EL0
static final int ARM64_REG_V20
static final int ARM64_CC_HS
static final int ARM64_INS_BFI
static final int ARM64_INS_URSHL
static final int ARM64_INS_FRINTA
static final int ARM64_INS_FCMLT
static final int ARM64_SYSREG_MDCCSR_EL0
static final int ARM64_VESS_D
static final int ARM64_REG_B6
static final int ARM64_REG_V18
static final int ARM64_INS_SVC
static final int ARM64_REG_H15
static final int ARM64_SYSREG_INVALID
static final int ARM64_INS_SQDMLSL
static final int ARM64_REG_B22
static final int ARM64_INS_SXTB
static final int ARM64_INS_SSHL
static final int ARM64_REG_X22
static final int ARM64_INS_ZIP2
static final int ARM64_INS_FMAXNM
static final int ARM64_TLBI_VAE1
static final int ARM64_INS_CMEQ
static final int ARM64_INS_SDIV
static final int ARM64_INS_SQNEG
static final int ARM64_REG_X6
static final int ARM64_TLBI_VMALLE1IS
static final int ARM64_INS_SSUBL2
static final int ARM64_REG_W26
static final int ARM64_INS_SHL
static final int ARM64_INS_CRC32CW
static final int ARM64_REG_D23
static final int ARM64_INS_LD4R
static final int ARM64_REG_X24
static final int ARM64_BARRIER_LD
static final int ARM64_INS_FMUL
static final int ARM64_INS_LDURH
static final int ARM64_INS_ADDP
static final int ARM64_REG_S18
static final int ARM64_INS_SRHADD
static final int ARM64_REG_W22
static final int ARM64_INS_LDAXP
static final int ARM64_CC_LS
static final int ARM64_INS_SQXTN2
static final int ARM64_SYSREG_CNTVCT_EL0
static final int ARM64_REG_Q17
static final int ARM64_REG_S30
static final int ARM64_REG_W12
static final int ARM64_SYSREG_TRCSTATR
static final int ARM64_AT_S12E1W
static final int ARM64_REG_X21
static final int ARM64_INS_FRSQRTE
static final int ARM64_SYSREG_ID_ISAR3_EL1
static final int ARM64_REG_B26
static final int ARM64_AT_S12E0R
static final int ARM64_REG_W18
static final int ARM64_REG_X7
static final int ARM64_INS_FMLS
static final int ARM64_INS_FABD
static final int ARM64_REG_D21
static final int ARM64_REG_X9
static final int ARM64_VESS_INVALID
static final int ARM64_INS_LDTRSH
static final int ARM64_TLBI_ALLE1
static final int ARM64_INS_SQXTUN
static final int ARM64_REG_W7
static final int ARM64_VESS_S
static final int ARM64_INS_SMLSL2
static final int ARM64_TLBI_IPAS2LE1
static final int ARM64_INS_SXTW
static final int ARM64_INS_SQRSHRUN2
static final int ARM64_INS_SQADD
static final int ARM64_INS_STLXP
static final int ARM64_INS_SMINP
static final int ARM64_REG_H28
static final int ARM64_INS_SSUBW2
static final int ARM64_REG_X27
static final int ARM64_REG_SP
static final int ARM64_GRP_INVALID
static final int ARM64_INS_XTN2
static final int ARM64_INS_UBFX
static final int ARM64_BARRIER_ST
static final int ARM64_INS_BLR
static final int ARM64_SYSREG_RVBAR_EL3
static final int ARM64_INS_ORN
static final int ARM64_REG_Q21
static final int ARM64_INS_SQRSHRN
static final int ARM64_INS_ERET
static final int ARM64_REG_D2
static final int ARM64_INS_SMSUBL
static final int ARM64_INS_CRC32W
static final int ARM64_REG_B11
static final int ARM64_REG_X4
static final int ARM64_DC_ISW
static final int ARM64_VAS_16B
static final int ARM64_PRFM_PLIL3KEEP
static final int ARM64_BARRIER_NSHLD
static final int ARM64_REG_V7
static final int ARM64_SYSREG_TRCOSLSR
static final int ARM64_INS_UQXTN
static final int ARM64_DC_CISW
static final int ARM64_TLBI_VAE2IS
static final int ARM64_INS_UMAXV
static final int ARM64_REG_H24
static final int ARM64_INS_SMAX
static final int ARM64_SYSREG_TRCIDR12
static final int ARM64_IC_IALLU
static final int ARM64_OP_REG_MRS
static final int ARM64_INS_UADDLV
static final int ARM64_REG_S2
static final int ARM64_REG_H2
static final int ARM64_REG_D26
static final int ARM64_INS_UMIN
static final int ARM64_INS_SADDW
static final int ARM64_INS_MVN
static final int ARM64_INS_ST2
static final int ARM64_SYSREG_TRCDEVAFF0
static final int ARM64_INS_CMTST
static final int ARM64_TLBI_IPAS2E1
static final int ARM64_INS_LSL
static final int ARM64_INS_ADC
static final int ARM64_INS_SMIN
static final int ARM64_INS_SEVL
static final int ARM64_INS_WFI
static final int ARM64_REG_H16
static final int ARM64_GRP_NEON
static final int ARM64_INS_UABDL
static final int ARM64_REG_S27
static final int ARM64_INS_RSHRN
static final int ARM64_REG_Q25
static final int ARM64_INS_LSR
static final int ARM64_PRFM_PLDL3KEEP
static final int ARM64_REG_Q3
static final int ARM64_INS_STLXRB
static final int ARM64_REG_XZR
static final int ARM64_REG_B21
static final int ARM64_SYSREG_CCSIDR_EL1
static final int ARM64_INS_ISB
static final int ARM64_INS_SSRA
static final int ARM64_TLBI_VAAE1
static final int ARM64_INS_UADDW
static final int ARM64_INS_FRINTN
static final int ARM64_REG_H11
static final int ARM64_REG_B9
static final int ARM64_INS_SQRSHRUN
static final int ARM64_INS_STLXR
static final int ARM64_TLBI_VAE2
static final int ARM64_AT_S1E2W
static final int ARM64_INS_CBZ
static final int ARM64_INS_FCMLE
static final int ARM64_CC_HI
static final int ARM64_AT_S1E3W
static final int ARM64_OP_PREFETCH
static final int ARM64_REG_S19
static final int ARM64_INS_NGC
static final int ARM64_TLBI_ALLE2IS
static final int ARM64_REG_H26
static final int ARM64_INS_FNEG
static final int ARM64_DC_INVALID
static final int ARM64_INS_SMAXV
static final int ARM64_VAS_2D
static final int ARM64_SYSREG_DCZID_EL0
static final int ARM64_TLBI_INVALID
static final int ARM64_INS_UMAX
static final int ARM64_INS_SHA256SU0
static final int ARM64_PRFM_PLDL1STRM
static final int ARM64_INS_HLT
static final int ARM64_INS_FRINTZ
static final int ARM64_INS_SHA1P
static final int ARM64_INS_USQADD
static final int ARM64_REG_Q6
static final int ARM64_REG_W10
static final int ARM64_REG_B16
static final int ARM64_SYSREG_TRCPIDR5
static final int ARM64_TLBI_ALLE3
static final int ARM64_INS_LDTRB
static final int ARM64_SYSREG_TRCPIDR7
static final int ARM64_INS_FRECPX
static final int ARM64_REG_B29
static final int ARM64_OP_SYS
static final int ARM64_VAS_8H
static final int ARM64_SYSREG_ID_A64ISAR1_EL1
static final int ARM64_INS_FCVTXN
static final int ARM64_GRP_ENDING
static final int ARM64_INS_NGCS
static final int ARM64_REG_B8
static final int ARM64_INS_FMLA
static final int ARM64_INS_UMINV
static final int ARM64_SYSREG_DBGAUTHSTATUS_EL1
static final int ARM64_PRFM_PLDL1KEEP
static final int ARM64_INS_CSEL
static final int ARM64_REG_Q10
static final int ARM64_REG_H6
static final int ARM64_REG_W19
static final int ARM64_REG_B18
static final int ARM64_REG_B20
static final int ARM64_PRFM_PSTL2KEEP
static final int ARM64_INS_UABA
static final int ARM64_PRFM_PSTL1KEEP
static final int ARM64_INS_UBFM
static final int ARM64_REG_D1
static final int ARM64_INS_SQSHRUN
static final int ARM64_REG_S10
static final int ARM64_INS_FMAXV
static final int ARM64_SYSREG_CLIDR_EL1
static final int ARM64_INS_MSUB
static final int ARM64_TLBI_ALLE3IS
static final int ARM64_EXT_SXTW
static final int ARM64_INS_DRPS
static final int ARM64_INS_RSUBHN2
static final int ARM64_CC_MI
static final int ARM64_REG_D9
static final int ARM64_CC_VS
static final int ARM64_REG_H1
static final int ARM64_INS_ABS
static final int ARM64_INS_SADALP
static final int ARM64_REG_B0
static final int ARM64_INS_URSHR
static final int ARM64_SYSREG_MVFR2_EL1
static final int ARM64_INS_EXTR
static final int ARM64_INS_FRINTM
static final int ARM64_INS_LD2
static final int ARM64_INS_UXTW
static final int ARM64_INS_FCMP
static final int ARM64_VESS_H
static final int ARM64_INS_RADDHN2
static final int ARM64_REG_Q19
static final int ARM64_INS_TLBI
static final int ARM64_REG_W30
static final int ARM64_INS_SABA
static final int ARM64_SYSREG_TRCLSR
static final int ARM64_INS_RBIT
static final int ARM64_INS_LDARB
static final int ARM64_REG_IP0
static final int ARM64_INS_SHA256SU1
static final int ARM64_SYSREG_TRCIDR8
static final int ARM64_CC_VC
static final int ARM64_PRFM_PLIL2STRM
static final int ARM64_CC_INVALID
static final int ARM64_INS_CRC32CX
static final int ARM64_INS_FRECPE
static final int ARM64_REG_W29
static final int ARM64_REG_IP1
static final int ARM64_INS_AESD
static final int ARM64_VESS_B
static final int ARM64_INS_TBX
static final int ARM64_REG_V29
static final int ARM64_INS_PMULL
static final int ARM64_REG_B24
static final int ARM64_INS_UZP1
static final int ARM64_PRFM_PLDL3STRM
static final int ARM64_REG_V23
static final int ARM64_CC_NE
static final int ARM64_TLBI_VALE3IS
static final int ARM64_INS_ENDING
static final int ARM64_INS_ADDHN
static final int ARM64_SYSREG_DBGDTRTX_EL0
static final int ARM64_REG_B19
static final int ARM64_REG_B5
static final int ARM64_INS_SABDL
static final int ARM64_SYSREG_ID_PFR0_EL1
static final int ARM64_SYSREG_TRCCIDR2
static final int ARM64_AT_S12E0W
static final int ARM64_INS_FCVTAU
static final int ARM64_SYSREG_ID_MMFR2_EL1
static final int ARM64_REG_S7
static final int ARM64_TLBI_VAALE1IS
static final int ARM64_SYSREG_ID_A64MMFR1_EL1
static final int ARM64_REG_X18
static final int ARM64_INS_BIT
static final int ARM64_SYSREG_ID_A64AFR1_EL1
static final int ARM64_INS_CRC32X
static final int ARM64_INS_REV32
static final int ARM64_INS_INS
static final int ARM64_REG_V17
static final int ARM64_INS_UMAXP
static final int ARM64_SYSREG_ICH_ELSR_EL2
static final int ARM64_REG_H23
static final int ARM64_INS_ADDHN2
static final int ARM64_INS_STLR
static final int ARM64_REG_D29
static final int ARM64_INS_NOT
static final int ARM64_REG_Q8
static final int ARM64_INS_USUBL2
static final int ARM64_SYSREG_TRCCIDR3
static final int ARM64_PRFM_INVALID
static final int ARM64_SYSREG_OSLSR_EL1